Jump to content
43oh

Search the Community

Showing results for tags 'stepper'.

  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • News
    • Announcements
    • Suggestions
    • New users say Hi!
  • Spotlight!
    • Sponsor Spotlight
    • Sponsor Giveaways
  • Energia
    • Energia - MSP
    • Energia - TivaC/CC3XXX
    • Energia - C2000
    • Energia Libraries
  • MSP Technical Forums
    • General
    • Compilers and IDEs
    • Development Kits
    • Programmers and Debuggers
    • Code vault
    • Projects
    • Booster Packs
    • Energia
  • Tiva-C, Hercules, CCXXXX ARM Technical Forums
    • General
    • SensorTag
    • Tiva-C, Hercules, CC3XXX Launchpad Booster Packs
    • Code Vault
    • Projects
    • Compilers and IDEs
    • Development Kits and Custom Boards
  • Beagle ARM Cortex A8 Technical Forums
    • General
    • Code Snippets and Scripts
    • Cases, Capes and Plugin Boards
    • Projects
  • General Electronics Forum
    • General Electronics
    • Other Microcontrollers
  • Connect
    • Embedded Systems/Test Equipment Deals
    • Buy, Trade and Sell
    • The 43oh Store
    • Community Projects
    • Fireside Chat
  • C2000 Technical Forums
    • General
    • Development Kits
    • Code Vault
    • Projects
    • BoosterPacks

Calendars

There are no results to display.


Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


Website URL


Location


Interests


Sparkfun


Github

Found 5 results

  1. Hey guys, I´m using a stepper motor in half step mode. In half step my motor has 400 steps. I wanna make it move one step at time with short pauses between each movement (2,5s). Also, after it completes the 400 steps it should start moving on the opposite direction. I´m using the library stepper.h but I´m having some doubts about it, this is my code at the moment: #include <Stepper.h> int x = 1; // step int z = 0; int w = -1; const int stepsPerRevolution = 400; // number of steps in half step mode Stepper myStepper(stepsPerRevolution, 8,9,10,11); void setu
  2. I just got the msp430G2 launchpad with the MSP430G2553 mcu. For stepper motor driver im using the boost-drv8711 boosterpack. I was able to get it running with the tutorial that it came with. However i cant run it with Energia. It might be because of the enable bit (0x0) but i dont know how to set it 1 on energia. Do any of you have any experiance of this setup ? The motor im running is bipolar sanyo denki 103h5208-5240. My code so far is like this (i used the arduino example, board pin layouts in attachment): #include <Stepper.h> const int stepsPerRevolution = 200; // change this
  3. // * DATE: 1/21/2013 // * DESCRIPTION: Simple program to run 8 lead stepper motor at half-steps #include "msp430g2452.h" volatile unsigned short a = 1; volatile unsigned short i = 1; volatile unsigned short j = 2; volatile unsigned short k = 3; volatile unsigned short l = 4; volatile unsigned short m = 5; volatile unsigned short n = 6; volatile unsigned short o = 7; volatile unsigned short p = 8; /* MAIN */ void main(void) { WDTCTL = WDTPW + WDTHOLD; // Stop watchdog timer P1DIR &= ~BIT3; P1IE |= BIT3; P1DIR |
  4. What have you guys used for stepper motor position feedback? I'm aware of shaft encoders, hall effect sensors, magnet and reed switches... but haven't used any to actually get feedback/control. Code/Links appreciated (especially Energia sketches)! It's for use with a little open source 1000PSI hydraulic pump that I'm iterating. The stepper feedback is for accurate hydraulic flow measurement, which will help us zero in on hydraulic positioning (not an easy thing!) curious/interested (blogpost -no BS, no commercials): http://www.arduinoforgoodnotevil.blogspot.ca/2013/07/arduino-1000
  5. Hi all! The current project I'm working on with Stellaris is a stepper (step, direction) driver. I have these TB-6560 drivers that I got on eBay for cheap (here), and I've successfully driven the motors using the 32 bit timer. I've been able to set the frequency using a variable, etc, and hoping to implement acceleration, etc. The goal is to eventually write an entire gCode interpreter and be able to drive the CNC via a serial connection that dumps gCode to the Stellaris. I'm running in to a weird problem though. I'm attempting to add a control panel I got form Deal Extreme that con
×
×
  • Create New...